Recent News

Irresistible Materials Ltd is selected by the EE Times as one of the top 100 silicon start-ups to watch in 2020.
https://www.eetimes.com/books/silicon-100-emerging-startups-to-watch/

Journal Papers

EUV Lithography

“Optimization of fullerene-based negative tone chemically amplified fullerene resist for extreme ultraviolet lithography”
A. Frommhold, D.X. Yang, A. McClelland, X. Xue, Y. Ekinci, R.E. Palmer, A.P.G. Robinson,
Proc SPIE, 9051, 905119 (2014).

Search DOI Request Reprint

“Performance of negative tone chemically amplified fullerene resists in extreme ultraviolet lithography,”
A. Frommhold, D.X. Yang, A. McClelland, X. Xue, Y. Ekinci, R.E. Palmer, A.P.G. Robinson,
J Micro/Nanolithography, MEMS, and MOEMS, 12, 033010 (2013).

Search DOI Request Reprint OPEN ACCESS

“Chemically Amplified Fullerene Resists, Spin-on Fullerene Hardmasks and High Aspect Ratio Etching”
A. Frommhold, D.X. Yang, J. Manyam, M. Manickam, E. Tarte, J.A. Preece, R.E. Palmer, A.P.G. Robinson,
IEEE-NANO, 1-6 (2012).

Search DOI Request Reprint

Electron Beam Lithography

“Helium ion beam lithography on fullerene molecular resists for sub-10 nm patterning,”
X. Shi, P. Prewett, E. Huq, D.M. Bagnall, A.P.G. Robinsond, S.A. Boden,
Microelectronic Engineering, 155, 74-78 (2016).

Search DOI Request Reprint

“Chemically Amplified Phenolic Fullerene Electron Beam Resist,”
D.X. Yang, A. Frommhold, X. Xue, R.E. Palmer, A.P.G. Robinson,
J Mater Chem C, 2, 1505-1512 (2014).

Search DOI Request Reprint OPEN ACCESS

“Positive Tone Chemically Amplified Fullerene Resist,”
J. Manyam, A Frommhold, D.X. Yang, A. McClelland, M. Manickam, J.A. Preece, R.E. Palmer, A.P.G. Robinson,
Proc SPIE, 8325, 83251U (2012).

Search DOI Request Reprint

“Chemically Amplified Fullerene Resists for e-Beam Lithography,”
J. Manyam, F.P. Gibbons, S. Diegoli, M. Manickam, J.A. Preece, R.E. Palmer, A.P.G. Robinson,
Proc SPIE, 6923, 69230M (2008).

Search DOI Request Reprint

“Fullerene Resist Materials for the 32nm Node and Beyond,”
F.P. Gibbons, A.P.G. Robinson, R.E. Palmer, S. Diegoli, M. Manickam, J.A. Preece,
Adv. Funct. Mater., 18, 1977 (2008).

Search DOI Request Reprint

“A High Resolution Water Soluble Fullerene Molecular Resist For Electron Beam Lithography,”
X. Chen, R.E. Palmer, A.P.G. Robinson,
Nanotechnology, 19, 275308 (2008).

Search DOI Request Reprint

“A Chemically Amplified Fullerene Derivative Molecular Electron Beam Resist,”
F.P. Gibbons, H.M. Zaid, M. Manickam, J.A. Preece, R.E. Palmer, A.P.G. Robinson,
Small, 3, 2076 (2007).

Search DOI Request Reprint

“Ultrathin Fullerene Films as High-Resolution Molecular Resists for Low-Voltage Electron-Beam Lithography,”
F.P. Gibbons, A.P. G. Robinson, R.E. Palmer, M. Manickam, J.A. Preece,
Small, 2, 1003 (2006).

Search DOI Request Reprint

“A Fullerene Derivative as an Electron Beam Resist for Nanolithography,”
A.P.G. Robinson, R.E. Palmer, T. Tada, T. Kanayama, J.A. Preece,
Appl. Phys. Lett., 72, 1302 (1998).

Search DOI Request Reprint

Resist Etching

“Formation of Nanoscale Structures by Inductively Coupled Plasma Etching,”
C.C. Welch, D.L. Olynick, Z. Liu, A. Holmberg, C. Peroz, A.P.G. Robinson, M.D. Henry, A. Scherer, T. Mollenhauer, V. Genova, D.K.T. Ng,
Proc SPIE, 8700, 870002 (2013).

Search DOI Request Reprint

“Plasma Etching of High-resolution Features in a Fullerene Molecular Resist,”
J. Manyam, M. Manickam, J.A. Preece, R.E. Palmer, A.P.G. Robinson,
Proc SPIE, 7972, 79722N (2011).

Search DOI Request Reprint

Spin-on-Carbon

“Spin-on Carbon using Fullerene derivatives,”
A. Frommhold, A.G. Brown, T. Lada, R.E. Palmer, A.P.G. Robinson,
Proc SPIE, 9054, 90540Q (2014).

Search DOI Request Reprint

“Spin-on-carbon hardmask based on fullerene derivatives for high-aspect ratio etching,”
A. Frommhold, R.E. Palmer, A.P.G. Robinson,
J Micro/Nanolithography, MEMS, and MOEMS, 12, 033003 (2013).

Search DOI Request Reprint OPEN ACCESS

“High aspect ratio etching using a fullerene derivative spin-on-carbon hardmask,”
A. Frommhold, J. Manyam, R.E. Palmer, A.P.G. Robinson,
Proc SPIE, 8328, 83280U (2012).

Search DOI Request Reprint

“Fullerene based Spin on Carbon Hardmask,”
J. Manyam, A. Frommhold, R. E. Palmer, A.P.G. Robinson,
Microelectron. Eng., 98, 552 (2012).

Search DOI Request Reprint

Other

“Low Activation Energy Fullerene Molecular Resist,”
J. Manyam, M. Manickam, J.A. Preece, R.E. Palmer, A.P.G. Robinson,
Proc SPIE, 7273, 72733D (2009).

Search DOI Request Reprint

“Direct Electron Beam Writing of Highly Conductive Wires in Functionalized Fullerene Films,”
F.P. Gibbons, M. Manickam, J.A. Preece, R.E. Palmer, A.P.G. Robinson,
Small, 5, 2750 (2009).

Search DOI Request Reprint

“Characterisation of the Effects of Base Additives on a Fullerene Chemically Amplified Resist,”
J. Manyam, M. Manickam, J.A. Preece, R.E. Palmer, A.P.G. Robinson,
Proc SPIE, 7639, 7639N (2010).

Search DOI Request Reprint

Conference Presentations

Resist

“Optimisation of Fullerene based Negative Tone Chemically Amplified Resist for Extreme Ultraviolet Lithography,”
A. Frommhold, D.X. Yang, R.E. Palmer, X. Xue, Y. Ekinci, A.P.G. Robinson,
Presented at SPIE Advanced Lithography, 2014, San Jose, USA.

Download Presentation

“A Platinum-Fullerene Complex for Patterning Metal Containing Nanostructures,”
D.X. Yang, A. Frommhold, D.S. He, Z.Y. Li, R.E. Palmer, M.A. Lebedeva, T.W. Chamberlain, A.N. Khlobystov, A.P.G. Robinson,
Presented at SPIE Advanced Lithography, 2014, San Jose, USA.

Coming Soon

“Evaluation of Negative Tone Chemically Amplified Fullerene Resist for Extreme Ultraviolet Lithography,”
A. Frommhold, D.X. Yang, R.E. Palmer, X. Xue, Y. Ekinci, A.P.G. Robinson,
Presented at Micro and Nanoengineering (MNE), 2013, London, UK.

Download Presentation

“Fullerene Derivatives as High Resolution Negative Tone Electron Beam Resists,”
D.X. Yang, J. Manyam, R.E. Palmer, X. Xue, A.P.G. Robinson,
Presented at Micro and Nanoengineering (MNE), 2013, London, UK.

Download Presentation

“EUV Lithography Performance of Negative tone Chemically Amplified Fullerene Resist,”
A. Frommhold, D.X. Yang, R.E. Palmer, A. McClelland, X. Xue, A.P.G. Robinson,
Presented at SPIE Advanced Lithography, 2013, San Jose, USA.

Download Presentation

“Electron and EUV lithography with fullerene based molecular photoresists,”
D.X. Yang, A. Frommhold, J. Manyam, M. Manickam, J.A. Preece, R.E. Palmer, A.P.G. Robinson,
Presented at Micro and Nanoengineering (MNE), 2012, Toulouse, France.

Download Presentation

Spin-on-Carbon

“Spin-on Carbon using Fullerene Derivatives,”
A. Frommhold, A.G. Brown, T. Lada, R.E. Palmer, A.P.G. Robinson,
Presented at SPIE Advanced Lithography, 2014, San Jose, USA.

Download Presentation

“Fullerene derivatives as spin-on-carbon material for high aspect ratio etching,”
A. Frommhold, T. Lada, R.E. Palmer, A.P.G. Robinson,
Presented at Micro and Nanoengineering (MNE), 2013, London, UK.

Download Presentation

“Spin-on Carbon based on Fullerene derivatives as hardmask material for high aspect ratio etching,”
A. Frommhold, R.E. Palmer, A.P.G. Robinson,
Presented at SPIE Advanced Lithography, 2013, San Jose, USA.

Download Presentation

“High aspect ratio etching using a fullerene derivative spin-on-carbon hardmask,”
A. Frommhold, J. Manyam, R.E. Palmer, A.P.G. Robinson,
Presented at SPIE Advanced Lithography, 2012, San Jose, USA.

Download Presentation

“Resolution, Thermal Performance and Mechanical Stability of Fullerene based Spin on Hardmasks ,”
J. Manyam, R.E. Palmer, A. Frommhold, A.P.G. Robinson,
Presented at Micro and Nanoengineering (MNE), 2012, Toulouse, France.

Download Presentation